forked from pulp-platform/occamy
-
Notifications
You must be signed in to change notification settings - Fork 1
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
* Update Vivado Scripts * Bug Fix * Bug Fix * Update bd * Update bd
- Loading branch information
Showing
6 changed files
with
130 additions
and
115 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -5,49 +5,66 @@ | |
# Nils Wistoff <[email protected]> | ||
# Yunhao Deng <[email protected]> | ||
|
||
# 1 and 0 voltage reference | ||
# 1: LA20_P - A21 | ||
set_property PACKAGE_PIN A21 [get_ports vref_vdd_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports vref_vdd_o] | ||
set_property DRIVE 12 [get_ports vref_vdd_o] | ||
|
||
# 0: LA20_N - A20 | ||
set_property PACKAGE_PIN A20 [get_ports vref_gnd_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports vref_gnd_o] | ||
set_property DRIVE 12 [get_ports vref_gnd_o] | ||
|
||
|
||
# Four-wires UART with flow control | ||
set_property PACKAGE_PIN BP26 [get_ports uart_rx_i_0] | ||
set_property IOSTANDARD LVCMOS18 [get_ports uart_rx_i_0] | ||
set_property PACKAGE_PIN BN26 [get_ports uart_tx_o_0] | ||
set_property IOSTANDARD LVCMOS18 [get_ports uart_tx_o_0] | ||
set_property PACKAGE_PIN BP22 [get_ports uart_cts_ni_0] | ||
set_property IOSTANDARD LVCMOS18 [get_ports uart_cts_ni_0] | ||
set_property PACKAGE_PIN BP23 [get_ports uart_rts_no_0] | ||
set_property IOSTANDARD LVCMOS18 [get_ports uart_rts_no_0] | ||
# LA26P - D17 | ||
set_property PACKAGE_PIN D17 [get_ports uart_rx_i_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_rx_i_0] | ||
# LA26N - D16 | ||
set_property PACKAGE_PIN D16 [get_ports uart_tx_o_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_tx_o_0] | ||
# Flow Control | ||
# LA27P - E21 | ||
set_property PACKAGE_PIN E21 [get_ports uart_cts_ni_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_cts_ni_0] | ||
set_property PULLUP TRUE [get_ports uart_cts_ni_0] | ||
# LA27N - D21 | ||
set_property PACKAGE_PIN D21 [get_ports uart_rts_no_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_rts_no_0] | ||
|
||
# Six-wires SPIx4 | ||
# FMCP_HSPC_LA12_P | ||
set_property PACKAGE_PIN J22 [get_ports spim_sd_io[0]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_sd_io[0]] | ||
# FMCP_HSPC LA12_N | ||
set_property PACKAGE_PIN H22 [get_ports spim_sd_io[1]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_sd_io[1]] | ||
# FMCP_HSPC LA16_P | ||
set_property PACKAGE_PIN K24 [get_ports spim_sd_io[2]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_sd_io[2]] | ||
# FMCP_HSPC_LA16_N | ||
set_property PACKAGE_PIN K23 [get_ports spim_sd_io[3]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_sd_io[3]] | ||
# FMCP_HSPC_LA20_P | ||
set_property PACKAGE_PIN A21 [get_ports spim_csb_o[0]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_csb_o[0]] | ||
# FMCP_HSPC_LA20_N | ||
set_property PACKAGE_PIN A20 [get_ports spim_csb_o[1]] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_csb_o[1]] | ||
# FMCP_HSPC_LA22_P | ||
set_property PACKAGE_PIN B16 [get_ports spim_sck_o] | ||
set_property IOSTANDARD LVCMOS18 [get_ports spim_sck_o] | ||
# LA10_P - B23 | ||
set_property PACKAGE_PIN B23 [get_ports spim_sd_io[0]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[0]] | ||
# LA10_N - A23 | ||
set_property PACKAGE_PIN A23 [get_ports spim_sd_io[1]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[1]] | ||
# LA11_P - B26 | ||
set_property PACKAGE_PIN B26 [get_ports spim_sd_io[2]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[2]] | ||
# LA11_N - B25 | ||
set_property PACKAGE_PIN B25 [get_ports spim_sd_io[3]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[3]] | ||
# LA12_P - J22 | ||
set_property PACKAGE_PIN J22 [get_ports spim_csb_o[0]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_csb_o[0]] | ||
# LA12_N - H22 | ||
set_property PACKAGE_PIN H22 [get_ports spim_csb_o[1]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_csb_o[1]] | ||
# LA13_P - A25 | ||
set_property PACKAGE_PIN A25 [get_ports spim_sck_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sck_o] | ||
|
||
create_clock -period 10.000 -name spi_m_sck [get_ports spim_sck_o] | ||
|
||
# Two-wires I2C | ||
# FMCP_HSPC_LA13_P | ||
set_property PACKAGE_PIN A25 [get_ports i2c_sda_io] | ||
set_property IOSTANDARD LVCMOS18 [get_ports i2c_sda_io] | ||
# FMCP_HSPC_LA13_N | ||
set_property PACKAGE_PIN A24 [get_ports i2c_scl_io] | ||
set_property IOSTANDARD LVCMOS18 [get_ports i2c_scl_io] | ||
# LA14_P - C23 | ||
set_property PACKAGE_PIN C23 [get_ports i2c_sda_io] | ||
set_property IOSTANDARD LVCMOS15 [get_ports i2c_sda_io] | ||
# LA14_N - B22 | ||
set_property PACKAGE_PIN B22 [get_ports i2c_scl_io] | ||
set_property IOSTANDARD LVCMOS15 [get_ports i2c_scl_io] | ||
|
||
# Eight-wires GPIO_O connected to LEDs | ||
set_property PACKAGE_PIN BH24 [get_ports gpio_d_o[0]] | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -5,63 +5,73 @@ | |
# Nils Wistoff <[email protected]> | ||
# Yunhao Deng <[email protected]> | ||
|
||
# 1 and 0 voltage reference | ||
# 1: LA20_P - BR42 | ||
set_property PACKAGE_PIN BR42 [get_ports vref_vdd_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports vref_vdd_o] | ||
set_property DRIVE 12 [get_ports vref_vdd_o] | ||
|
||
# 0: LA20_N - BT41 | ||
set_property PACKAGE_PIN BT41 [get_ports vref_gnd_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports vref_gnd_o] | ||
set_property DRIVE 12 [get_ports vref_gnd_o] | ||
|
||
# Four-wires UART with flow control | ||
set_property PACKAGE_PIN AY44 [get_ports uart_rx_i_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_rx_i_0] | ||
set_property PACKAGE_PIN AW44 [get_ports uart_tx_o_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_tx_o_0] | ||
# set_property PACKAGE_PIN AY44 [get_ports uart_rx_i_0] | ||
# set_property IOSTANDARD LVCMOS15 [get_ports uart_rx_i_0] | ||
# set_property PACKAGE_PIN AW44 [get_ports uart_tx_o_0] | ||
# set_property IOSTANDARD LVCMOS15 [get_ports uart_tx_o_0] | ||
# FT4232HL's flow control is not connected to the FPGA... | ||
# The external UART alternative | ||
# Data | ||
# # LA25P - CC38 | ||
# set_property PACKAGE_PIN CC38 [get_ports uart_rx_i_0] | ||
# set_property IOSTANDARD LVCMOS15 [get_ports uart_rx_i_0] | ||
# # LA25N - CC39 | ||
# set_property PACKAGE_PIN CC39 [get_ports uart_tx_o_0] | ||
# set_property IOSTANDARD LVCMOS15 [get_ports uart_tx_o_0] | ||
# LA26P - CB41 | ||
set_property PACKAGE_PIN CB41 [get_ports uart_rx_i_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_rx_i_0] | ||
# LA26N - CC42 | ||
set_property PACKAGE_PIN CC42 [get_ports uart_tx_o_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_tx_o_0] | ||
# Flow Control | ||
# LA29P - BY38 | ||
set_property PACKAGE_PIN BY38 [get_ports uart_cts_ni_0] | ||
# LA27P - CA38 | ||
set_property PACKAGE_PIN CA38 [get_ports uart_cts_ni_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_cts_ni_0] | ||
set_property PULLDOWN TRUE [get_ports uart_cts_ni_0] | ||
# LA29N - CA37 | ||
set_property PACKAGE_PIN CA37 [get_ports uart_rts_no_0] | ||
set_property PULLUP TRUE [get_ports uart_cts_ni_0] | ||
# LA27N - CB39 | ||
set_property PACKAGE_PIN CB39 [get_ports uart_rts_no_0] | ||
set_property IOSTANDARD LVCMOS15 [get_ports uart_rts_no_0] | ||
|
||
# Six-wires SPIx4 | ||
# FMCP_HSPC_LA12_P | ||
set_property PACKAGE_PIN BW49 [get_ports spim_sd_io[0]] | ||
# FMCP_HSPC_LA10_P | ||
set_property PACKAGE_PIN CC44 [get_ports spim_sd_io[0]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[0]] | ||
# FMCP_HSPC LA12_N | ||
set_property PACKAGE_PIN BW50 [get_ports spim_sd_io[1]] | ||
# FMCP_HSPC LA10_N | ||
set_property PACKAGE_PIN CD45 [get_ports spim_sd_io[1]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[1]] | ||
# FMCP_HSPC LA16_P | ||
set_property PACKAGE_PIN CA51 [get_ports spim_sd_io[2]] | ||
# FMCP_HSPC LA11_P | ||
set_property PACKAGE_PIN CB51 [get_ports spim_sd_io[2]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[2]] | ||
# FMCP_HSPC_LA16_N | ||
set_property PACKAGE_PIN CB52 [get_ports spim_sd_io[3]] | ||
# FMCP_HSPC_LA11_N | ||
set_property PACKAGE_PIN CC52 [get_ports spim_sd_io[3]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sd_io[3]] | ||
# FMCP_HSPC_LA20_P | ||
set_property PACKAGE_PIN BR42 [get_ports spim_csb_o[0]] | ||
# FMCP_HSPC_LA12_P | ||
set_property PACKAGE_PIN BW49 [get_ports spim_csb_o[0]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_csb_o[0]] | ||
# FMCP_HSPC_LA20_N | ||
set_property PACKAGE_PIN BT41 [get_ports spim_csb_o[1]] | ||
# FMCP_HSPC_LA12_N | ||
set_property PACKAGE_PIN BW50 [get_ports spim_csb_o[1]] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_csb_o[1]] | ||
# FMCP_HSPC_LA22_P | ||
set_property PACKAGE_PIN CD42 [get_ports spim_sck_o] | ||
# FMCP_HSPC_LA13_P | ||
set_property PACKAGE_PIN CC49 [get_ports spim_sck_o] | ||
set_property IOSTANDARD LVCMOS15 [get_ports spim_sck_o] | ||
|
||
create_clock -period 10.000 -name spi_m_sck [get_ports spim_sck_o] | ||
|
||
# Two-wires I2C | ||
# FMCP_HSPC_LA13_P | ||
set_property PACKAGE_PIN CC49 [get_ports i2c_sda_io] | ||
# FMCP_HSPC_LA14_P | ||
set_property PACKAGE_PIN BY51 [get_ports i2c_sda_io] | ||
set_property IOSTANDARD LVCMOS15 [get_ports i2c_sda_io] | ||
set_property PULLUP TRUE [get_ports i2c_sda_io] | ||
|
||
# FMCP_HSPC_LA13_N | ||
set_property PACKAGE_PIN CD50 [get_ports i2c_scl_io] | ||
# FMCP_HSPC_LA14_N | ||
set_property PACKAGE_PIN CA52 [get_ports i2c_scl_io] | ||
set_property IOSTANDARD LVCMOS15 [get_ports i2c_scl_io] | ||
set_property PULLUP TRUE [get_ports i2c_scl_io] | ||
|
||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters