Skip to content

barbara-x/FPGA

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

FPGA

karaoke mode

audio codec loopback, linein+micin=>lineout

key0 start clock & I2C(master)

key3 control volume

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published