Skip to content

Commit

Permalink
docs: added a diagram about Openflow
Browse files Browse the repository at this point in the history
  • Loading branch information
rodrigomelo9 committed Sep 3, 2024
1 parent 5aecfde commit 9a2df31
Show file tree
Hide file tree
Showing 4 changed files with 271 additions and 0 deletions.
15 changes: 15 additions & 0 deletions docs/images/Makefile
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
#!/usr/bin/make

FILES = $(wildcard *.dot)
FILES := $(basename $(FILES))
FILES := $(addsuffix .svg,$(FILES))

ODIR = .

vpath %.svg $(ODIR)

%.svg: %.dot
@mkdir -p $(ODIR)
dot -Tsvg $< -o $(ODIR)/$@

all: $(FILES)
28 changes: 28 additions & 0 deletions docs/images/openflow.dot
Original file line number Diff line number Diff line change
@@ -0,0 +1,28 @@
digraph openflow {
graph [ranksep=0.25];
node [shape = doublecircle];
node [shape = rectangle];
GHDL "ghdl-yosys-plugin" Yosys "nextpnr-ice40" "nextpnr-ecp5" icetime icepack iceprog eccpack;
node [shape = note ];
VHDL Verilog;
node [shape = box3d ];
ice40;
node [shape = oval];
"bit-ice40" [label=".bit"];
"bit-ecp5" [label=".bit"];
VHDL -> {GHDL "ghdl-yosys-plugin"};
GHDL -> "ghdl-yosys-plugin";
"ghdl-yosys-plugin" -> Yosys;
Verilog -> Yosys;
Yosys -> ".json";
".json" -> {"nextpnr-ice40" "nextpnr-ecp5"};
"nextpnr-ice40" -> ".asc";
"nextpnr-ecp5" -> ".config";
".asc" -> {icetime icepack};
icepack -> "bit-ice40";
"bit-ice40" -> iceprog;
iceprog -> ice40;
".config" -> eccpack;
eccpack -> "bit-ecp5";
{rank = same; GHDL ; "ghdl-yosys-plugin"; Yosys;}
}
224 changes: 224 additions & 0 deletions docs/images/openflow.svg
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
4 changes: 4 additions & 0 deletions docs/tools.rst
Original file line number Diff line number Diff line change
Expand Up @@ -94,6 +94,10 @@ Openflow

Openflow is the combination of different Free/Libre and Open Source (FLOSS) tools:

.. image:: images/openflow.svg
:width: 70%
:align: center

* Yosys for synthesis, with ghdl-yosys-plugin for VHDL support.
* nextpnr in its ice40 and ecp5 versions.
* Projects icestorm and Trellis.
Expand Down

0 comments on commit 9a2df31

Please sign in to comment.