@@ -35,17 +35,21 @@ module VX_afu_wrap #(
35
35
input wire s_axi_ctrl_awvalid,
36
36
output wire s_axi_ctrl_awready,
37
37
input wire [C_S_AXI_CTRL_ADDR_WIDTH - 1 : 0 ] s_axi_ctrl_awaddr,
38
+
38
39
input wire s_axi_ctrl_wvalid,
39
40
output wire s_axi_ctrl_wready,
40
41
input wire [C_S_AXI_CTRL_DATA_WIDTH - 1 : 0 ] s_axi_ctrl_wdata,
41
42
input wire [C_S_AXI_CTRL_DATA_WIDTH / 8 - 1 : 0 ] s_axi_ctrl_wstrb,
43
+
42
44
input wire s_axi_ctrl_arvalid,
43
45
output wire s_axi_ctrl_arready,
44
46
input wire [C_S_AXI_CTRL_ADDR_WIDTH - 1 : 0 ] s_axi_ctrl_araddr,
47
+
45
48
output wire s_axi_ctrl_rvalid,
46
49
input wire s_axi_ctrl_rready,
47
50
output wire [C_S_AXI_CTRL_DATA_WIDTH - 1 : 0 ] s_axi_ctrl_rdata,
48
51
output wire [1 : 0 ] s_axi_ctrl_rresp,
52
+
49
53
output wire s_axi_ctrl_bvalid,
50
54
input wire s_axi_ctrl_bready,
51
55
output wire [1 : 0 ] s_axi_ctrl_bresp,
@@ -69,20 +73,24 @@ module VX_afu_wrap #(
69
73
wire [C_M_AXI_MEM_ADDR_WIDTH - 1 : 0 ] m_axi_mem_awaddr_a [C_M_AXI_MEM_NUM_BANKS ];
70
74
wire [C_M_AXI_MEM_ID_WIDTH - 1 : 0 ] m_axi_mem_awid_a [C_M_AXI_MEM_NUM_BANKS ];
71
75
wire [7 : 0 ] m_axi_mem_awlen_a [C_M_AXI_MEM_NUM_BANKS ];
76
+
72
77
wire m_axi_mem_wvalid_a [C_M_AXI_MEM_NUM_BANKS ];
73
78
wire m_axi_mem_wready_a [C_M_AXI_MEM_NUM_BANKS ];
74
79
wire [C_M_AXI_MEM_DATA_WIDTH - 1 : 0 ] m_axi_mem_wdata_a [C_M_AXI_MEM_NUM_BANKS ];
75
80
wire [C_M_AXI_MEM_DATA_WIDTH / 8 - 1 : 0 ] m_axi_mem_wstrb_a [C_M_AXI_MEM_NUM_BANKS ];
76
81
wire m_axi_mem_wlast_a [C_M_AXI_MEM_NUM_BANKS ];
82
+
77
83
wire m_axi_mem_bvalid_a [C_M_AXI_MEM_NUM_BANKS ];
78
84
wire m_axi_mem_bready_a [C_M_AXI_MEM_NUM_BANKS ];
79
85
wire [C_M_AXI_MEM_ID_WIDTH - 1 : 0 ] m_axi_mem_bid_a [C_M_AXI_MEM_NUM_BANKS ];
80
86
wire [1 : 0 ] m_axi_mem_bresp_a [C_M_AXI_MEM_NUM_BANKS ];
87
+
81
88
wire m_axi_mem_arvalid_a [C_M_AXI_MEM_NUM_BANKS ];
82
89
wire m_axi_mem_arready_a [C_M_AXI_MEM_NUM_BANKS ];
83
90
wire [C_M_AXI_MEM_ADDR_WIDTH - 1 : 0 ] m_axi_mem_araddr_a [C_M_AXI_MEM_NUM_BANKS ];
84
91
wire [C_M_AXI_MEM_ID_WIDTH - 1 : 0 ] m_axi_mem_arid_a [C_M_AXI_MEM_NUM_BANKS ];
85
92
wire [7 : 0 ] m_axi_mem_arlen_a [C_M_AXI_MEM_NUM_BANKS ];
93
+
86
94
wire m_axi_mem_rvalid_a [C_M_AXI_MEM_NUM_BANKS ];
87
95
wire m_axi_mem_rready_a [C_M_AXI_MEM_NUM_BANKS ];
88
96
wire [C_M_AXI_MEM_DATA_WIDTH - 1 : 0 ] m_axi_mem_rdata_a [C_M_AXI_MEM_NUM_BANKS ];
@@ -217,17 +225,21 @@ module VX_afu_wrap #(
217
225
.s_axi_awvalid (s_axi_ctrl_awvalid),
218
226
.s_axi_awready (s_axi_ctrl_awready),
219
227
.s_axi_awaddr (s_axi_ctrl_awaddr),
228
+
220
229
.s_axi_wvalid (s_axi_ctrl_wvalid),
221
230
.s_axi_wready (s_axi_ctrl_wready),
222
231
.s_axi_wdata (s_axi_ctrl_wdata),
223
232
.s_axi_wstrb (s_axi_ctrl_wstrb),
233
+
224
234
.s_axi_arvalid (s_axi_ctrl_arvalid),
225
235
.s_axi_arready (s_axi_ctrl_arready),
226
236
.s_axi_araddr (s_axi_ctrl_araddr),
237
+
227
238
.s_axi_rvalid (s_axi_ctrl_rvalid),
228
239
.s_axi_rready (s_axi_ctrl_rready),
229
240
.s_axi_rdata (s_axi_ctrl_rdata),
230
241
.s_axi_rresp (s_axi_ctrl_rresp),
242
+
231
243
.s_axi_bvalid (s_axi_ctrl_bvalid),
232
244
.s_axi_bready (s_axi_ctrl_bready),
233
245
.s_axi_bresp (s_axi_ctrl_bresp),
@@ -428,16 +440,16 @@ module VX_afu_wrap #(
428
440
always @ (posedge clk) begin
429
441
for (integer i = 0 ; i < C_M_AXI_MEM_NUM_BANKS ; ++ i) begin
430
442
if (m_axi_mem_awvalid_a[i] && m_axi_mem_awready_a[i]) begin
431
- `TRACE (2 , (" %t : AXI Wr Req [%0d ]: addr=0x%0h , tag =0x%0h \n " , $time , i, m_axi_mem_awaddr_a[i], m_axi_mem_awid_a[i]))
443
+ `TRACE (2 , (" %t : AXI Wr Req [%0d ]: addr=0x%0h , id =0x%0h \n " , $time , i, m_axi_mem_awaddr_a[i], m_axi_mem_awid_a[i]))
432
444
end
433
445
if (m_axi_mem_wvalid_a[i] && m_axi_mem_wready_a[i]) begin
434
- `TRACE (2 , (" %t : AXI Wr Req [%0d ]: data=0x%h \n " , $time , i, m_axi_mem_wdata_a[i]))
446
+ `TRACE (2 , (" %t : AXI Wr Req [%0d ]: strb=0x %h , data=0x%h \n " , $time , i, m_axi_mem_wstrb_a[i] , m_axi_mem_wdata_a[i]))
435
447
end
436
448
if (m_axi_mem_arvalid_a[i] && m_axi_mem_arready_a[i]) begin
437
- `TRACE (2 , (" %t : AXI Rd Req [%0d ]: addr=0x%0h , tag =0x%0h \n " , $time , i, m_axi_mem_araddr_a[i], m_axi_mem_arid_a[i]))
449
+ `TRACE (2 , (" %t : AXI Rd Req [%0d ]: addr=0x%0h , id =0x%0h \n " , $time , i, m_axi_mem_araddr_a[i], m_axi_mem_arid_a[i]))
438
450
end
439
451
if (m_axi_mem_rvalid_a[i] && m_axi_mem_rready_a[i]) begin
440
- `TRACE (2 , (" %t : AXI Rd Rsp [%0d ]: data=0x%h , tag =0x%0h \n " , $time , i, m_axi_mem_rdata_a[i], m_axi_mem_rid_a[i]))
452
+ `TRACE (2 , (" %t : AXI Rd Rsp [%0d ]: data=0x%h , id =0x%0h \n " , $time , i, m_axi_mem_rdata_a[i], m_axi_mem_rid_a[i]))
441
453
end
442
454
end
443
455
end
0 commit comments