-
Notifications
You must be signed in to change notification settings - Fork 2
/
qsys.cmp
18 lines (17 loc) · 1.29 KB
/
qsys.cmp
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
component qsys is
port (
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X'; -- reset_n
sdram_wire_addr : out std_logic_vector(12 downto 0); -- addr
sdram_wire_ba : out std_logic_vector(1 downto 0); -- ba
sdram_wire_cas_n : out std_logic; -- cas_n
sdram_wire_cke : out std_logic; -- cke
sdram_wire_cs_n : out std_logic; -- cs_n
sdram_wire_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- dq
sdram_wire_dqm : out std_logic_vector(3 downto 0); -- dqm
sdram_wire_ras_n : out std_logic; -- ras_n
sdram_wire_we_n : out std_logic; -- we_n
uart_external_connection_rxd : in std_logic := 'X'; -- rxd
uart_external_connection_txd : out std_logic -- txd
);
end component qsys;