From 76300be93006dfed6322b0a8a2a85d54b234e7a3 Mon Sep 17 00:00:00 2001 From: Lucas Russo Date: Mon, 13 Jul 2020 16:27:44 -0300 Subject: [PATCH 1/4] syn/afc_v3: remove unused SR UVX design This only changes the DDS table and we don't have any use for the UVX design anymore. --- .../afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py | 35 ------------------- .../dbe_bpm2_sr_uvx/build_bitstream_local.sh | 11 ------ .../dbe_bpm2_sr_uvx/build_bitstream_remote.sh | 11 ------ .../dbe_bpm2_sr_uvx/build_synthesis_sdb.sh | 17 --------- .../synthesis_descriptor_pkg.vhd | 6 ---- .../afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py | 35 ------------------- .../dbe_bpm_sr_uvx/build_bitstream_local.sh | 11 ------ .../dbe_bpm_sr_uvx/build_bitstream_remote.sh | 11 ------ .../dbe_bpm_sr_uvx/build_synthesis_sdb.sh | 17 --------- .../synthesis_descriptor_pkg.vhd | 6 ---- 10 files changed, 160 deletions(-) delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_synthesis_sdb.sh delete mode 100644 hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh delete mode 100755 hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_synthesis_sdb.sh delete mode 100644 hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py b/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py deleted file mode 100755 index 159159c4..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py +++ /dev/null @@ -1,35 +0,0 @@ -target = "xilinx" -action = "synthesis" - -language = "vhdl" - -syn_device = "xc7a200t" -syn_grade = "-2" -syn_package = "ffg1156" -syn_top = "dbe_bpm2" -syn_project = "dbe_bpm2" -syn_tool = "vivado" -syn_properties = [ - ["steps.synth_design.args.more options", "-verbose"], - ["steps.synth_design.args.retiming", "1"], - ["steps.synth_design.args.assert", "1"], - ["steps.opt_design.args.verbose", "1"], - ["steps.opt_design.is_enabled", "1"], - ["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"], - ["steps.phys_opt_design.args.more options", "-verbose"], - ["steps.phys_opt_design.is_enabled", "1"], - ["steps.post_route_phys_opt_design.args.directive", "AddRetime"], - ["steps.post_route_phys_opt_design.args.more options", "-verbose"], - ["steps.post_route_phys_opt_design.is_enabled", "1"], - ["steps.write_bitstream.args.verbose", "1"]] - -import os -import sys -if os.path.isfile("synthesis_descriptor_pkg.vhd"): - files = ["synthesis_descriptor_pkg.vhd"]; -else: - sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)") - -machine_pkg = "uvx_sr_250M"; - -modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] }; diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh b/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh deleted file mode 100755 index 047fff83..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh +++ /dev/null @@ -1,11 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -COMMAND="(./build_synthesis_sdb.sh; hdlmake -a makefile; time make; date) 2>&1 | tee make_output" - -echo $COMMAND -eval $COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh b/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh deleted file mode 100755 index 9846b1af..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh +++ /dev/null @@ -1,11 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &" - -echo $COMMAND -eval $COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_synthesis_sdb.sh b/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_synthesis_sdb.sh deleted file mode 100755 index 8f2ceae4..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_synthesis_sdb.sh +++ /dev/null @@ -1,17 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -# Maximum of 16 chars -SYNTH_INFO_PROJECT="bpm-gw-sr-uvx" -SYNTH_INFO_TOOL="VIVADO" -SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2) - -SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}" - -# Generate synthesis file -echo $SYNTH_INFO_COMMAND -eval $SYNTH_INFO_COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd b/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd deleted file mode 100644 index 6d9847e0..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd +++ /dev/null @@ -1,6 +0,0 @@ --- This file will be overwritten prior to synthesis, --- by hdlmake "syn_pre_cmd" specified on top Manifest.py. --- --- However, hdlmake requires all files to be present --- on parsing-time. So, fool the tool with this dummy --- file so we can bypass this requirement. diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py b/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py deleted file mode 100755 index fd5fc0a1..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py +++ /dev/null @@ -1,35 +0,0 @@ -target = "xilinx" -action = "synthesis" - -language = "vhdl" - -syn_device = "xc7a200t" -syn_grade = "-2" -syn_package = "ffg1156" -syn_top = "dbe_bpm" -syn_project = "dbe_bpm" -syn_tool = "vivado" -syn_properties = [ - ["steps.synth_design.args.more options", "-verbose"], - ["steps.synth_design.args.retiming", "1"], - ["steps.synth_design.args.assert", "1"], - ["steps.opt_design.args.verbose", "1"], - ["steps.opt_design.is_enabled", "1"], - ["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"], - ["steps.phys_opt_design.args.more options", "-verbose"], - ["steps.phys_opt_design.is_enabled", "1"], - ["steps.post_route_phys_opt_design.args.directive", "AddRetime"], - ["steps.post_route_phys_opt_design.args.more options", "-verbose"], - ["steps.post_route_phys_opt_design.is_enabled", "1"], - ["steps.write_bitstream.args.verbose", "1"]] - -import os -import sys -if os.path.isfile("synthesis_descriptor_pkg.vhd"): - files = ["synthesis_descriptor_pkg.vhd"]; -else: - sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)") - -machine_pkg = "uvx_sr_130M" - -modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm" ] }; diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh b/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh deleted file mode 100755 index 3d7e0c78..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh +++ /dev/null @@ -1,11 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -COMMAND="(./build_synthesis_sdb.sh; hdlmake -a makefile; time make; date) 2>&1 | tee make_output &" - -echo $COMMAND -eval $COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh b/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh deleted file mode 100755 index 9846b1af..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh +++ /dev/null @@ -1,11 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &" - -echo $COMMAND -eval $COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_synthesis_sdb.sh b/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_synthesis_sdb.sh deleted file mode 100755 index 8f2ceae4..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_synthesis_sdb.sh +++ /dev/null @@ -1,17 +0,0 @@ -#!/bin/bash - -# Exit on error -set -e -# Check for uninitialized variables -set -u - -# Maximum of 16 chars -SYNTH_INFO_PROJECT="bpm-gw-sr-uvx" -SYNTH_INFO_TOOL="VIVADO" -SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2) - -SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}" - -# Generate synthesis file -echo $SYNTH_INFO_COMMAND -eval $SYNTH_INFO_COMMAND diff --git a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd b/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd deleted file mode 100644 index 6d9847e0..00000000 --- a/hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd +++ /dev/null @@ -1,6 +0,0 @@ --- This file will be overwritten prior to synthesis, --- by hdlmake "syn_pre_cmd" specified on top Manifest.py. --- --- However, hdlmake requires all files to be present --- on parsing-time. So, fool the tool with this dummy --- file so we can bypass this requirement. From 3b297d6b0d85cc53a172ae5265ca6eafba1df40f Mon Sep 17 00:00:00 2001 From: Lucas Russo Date: Mon, 13 Jul 2020 16:28:40 -0300 Subject: [PATCH 2/4] modules/machine: remove unused UVX machine parameters --- hdl/modules/machine/Manifest.py | 8 +- hdl/modules/machine/uvx_sr_130M/Manifest.py | 12 - .../cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe | 150 ----------- .../cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif | 148 ----------- .../machine/uvx_sr_130M/dds_cos_lut.vhd | 72 ----- .../machine/uvx_sr_130M/dds_sin_lut.vhd | 73 ----- .../machine/uvx_sr_130M/machine_pkg.vhd | 79 ------ .../sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe | 150 ----------- .../sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif | 148 ----------- .../sw_windowing_n_251_tukey_0_2.coe | 2 - .../sw_windowing_n_251_tukey_0_2.mif | 251 ------------------ hdl/modules/machine/uvx_sr_250M/Manifest.py | 10 - .../cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe | 67 ----- .../cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif | 65 ----- .../machine/uvx_sr_250M/dds_cos_lut.vhd | 72 ----- .../machine/uvx_sr_250M/dds_sin_lut.vhd | 73 ----- .../machine/uvx_sr_250M/machine_pkg.vhd | 96 ------- .../sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe | 67 ----- .../sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif | 65 ----- .../sw_windowing_n_251_tukey_0_2.coe | 2 - .../sw_windowing_n_251_tukey_0_2.mif | 251 ------------------ 21 files changed, 1 insertion(+), 1860 deletions(-) delete mode 100644 hdl/modules/machine/uvx_sr_130M/Manifest.py delete mode 100644 hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe delete mode 100644 hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif delete mode 100644 hdl/modules/machine/uvx_sr_130M/dds_cos_lut.vhd delete mode 100644 hdl/modules/machine/uvx_sr_130M/dds_sin_lut.vhd delete mode 100644 hdl/modules/machine/uvx_sr_130M/machine_pkg.vhd delete mode 100644 hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe delete mode 100644 hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif delete mode 100644 hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe delete mode 100644 hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif delete mode 100644 hdl/modules/machine/uvx_sr_250M/Manifest.py delete mode 100644 hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe delete mode 100644 hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif delete mode 100644 hdl/modules/machine/uvx_sr_250M/dds_cos_lut.vhd delete mode 100644 hdl/modules/machine/uvx_sr_250M/dds_sin_lut.vhd delete mode 100644 hdl/modules/machine/uvx_sr_250M/machine_pkg.vhd delete mode 100644 hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe delete mode 100644 hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif delete mode 100644 hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe delete mode 100644 hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif diff --git a/hdl/modules/machine/Manifest.py b/hdl/modules/machine/Manifest.py index 8b1d625e..1b278327 100644 --- a/hdl/modules/machine/Manifest.py +++ b/hdl/modules/machine/Manifest.py @@ -1,10 +1,4 @@ -# TODO: if hdlmake allowed for importing python packages in inner modules, this could existing directories directly - -if (machine_pkg == "uvx_sr_130M"): - modules = { "local" : "uvx_sr_130M"} -elif (machine_pkg == "uvx_sr_250M"): - modules = { "local" : "uvx_sr_250M"} -elif (machine_pkg == "sirius_sr_130M"): +if (machine_pkg == "sirius_sr_130M"): modules = { "local" : "sirius_sr_130M"} elif (machine_pkg == "sirius_sr_250M"): modules = { "local" : "sirius_sr_250M"} diff --git a/hdl/modules/machine/uvx_sr_130M/Manifest.py b/hdl/modules/machine/uvx_sr_130M/Manifest.py deleted file mode 100644 index d323be3f..00000000 --- a/hdl/modules/machine/uvx_sr_130M/Manifest.py +++ /dev/null @@ -1,12 +0,0 @@ -files = [ "machine_pkg.vhd", - "dds_cos_lut.vhd", - "cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe", - "cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif", - "dds_sin_lut.vhd", - "sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe", - "sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif", - "sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe", - "sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif", - ] - - diff --git a/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe b/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe deleted file mode 100644 index 8ae66292..00000000 --- a/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.coe +++ /dev/null @@ -1,150 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector= -5a82, -ad7f, -9780, -40c8, -737d, -d2cf, -84d9, -184e, -7f47, -fd49, -8043, -ed0d, -7c86, -2812, -8a46, -c3f6, -6b8b, -4e47, -a1bc, -a1bc, -4e47, -6b8b, -c3f6, -8a46, -2812, -7c86, -ed0d, -8043, -fd49, -7f47, -184e, -84d9, -d2cf, -737d, -40c8, -9780, -ad7f, -5a82, -61db, -b617, -919c, -372f, -77c1, -dd20, -8255, -0d8f, -7ff8, -0825, -816a, -e262, -7990, -323c, -8ef5, -ba98, -6545, -5696, -a96a, -9abb, -4568, -710b, -cdc4, -8670, -1d9e, -7e96, -f7db, -8008, -f271, -7dab, -22e0, -883f, -c8d1, -6e64, -49e9, -9e25, -a57e, -5281, -6880, -bf38, -8c83, -2d31, -7b27, -e7b2, -80b9, -02b7, -7fbd, -12f3, -837a, -d7ee, -75ba, -3c0a, -9475, -b1b9, -5e44, -5e44, -b1b9, -9475, -3c0a, -75ba, -d7ee, -837a, -12f3, -7fbd, -02b7, -80b9, -e7b2, -7b27, -2d31, -8c83, -bf38, -6880, -5281, -a57e, -9e25, -49e9, -6e64, -c8d1, -883f, -22e0, -7dab, -f271, -8008, -f7db, -7e96, -1d9e, -8670, -cdc4, -710b, -4568, -9abb, -a96a, -5696, -6545, -ba98, -8ef5, -323c, -7990, -e262, -816a, -0825, -7ff8, -0d8f, -8255, -dd20, -77c1, -372f, -919c, -b617, -61db diff --git a/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif b/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif deleted file mode 100644 index 1cc78ebf..00000000 --- a/hdl/modules/machine/uvx_sr_130M/cos_lut_uvx_35_148/cos_lut_uvx_35_148.mif +++ /dev/null @@ -1,148 +0,0 @@ -0101101010000010 -1010110101111111 -1001011110000000 -0100000011001000 -0111001101111101 -1101001011001111 -1000010011011001 -0001100001001110 -0111111101000111 -1111110101001001 -1000000001000011 -1110110100001101 -0111110010000110 -0010100000010010 -1000101001000110 -1100001111110110 -0110101110001011 -0100111001000111 -1010000110111100 -1010000110111100 -0100111001000111 -0110101110001011 -1100001111110110 -1000101001000110 -0010100000010010 -0111110010000110 -1110110100001101 -1000000001000011 -1111110101001001 -0111111101000111 -0001100001001110 -1000010011011001 -1101001011001111 -0111001101111101 -0100000011001000 -1001011110000000 -1010110101111111 -0101101010000010 -0110000111011011 -1011011000010111 -1001000110011100 -0011011100101111 -0111011111000001 -1101110100100000 -1000001001010101 -0000110110001111 -0111111111111000 -0000100000100101 -1000000101101010 -1110001001100010 -0111100110010000 -0011001000111100 -1000111011110101 -1011101010011000 -0110010101000101 -0101011010010110 -1010100101101010 -1001101010111011 -0100010101101000 -0111000100001011 -1100110111000100 -1000011001110000 -0001110110011110 -0111111010010110 -1111011111011011 -1000000000001000 -1111001001110001 -0111110110101011 -0010001011100000 -1000100000111111 -1100100011010001 -0110111001100100 -0100100111101001 -1001111000100101 -1010010101111110 -0101001010000001 -0110100010000000 -1011111100111000 -1000110010000011 -0010110100110001 -0111101100100111 -1110011110110010 -1000000010111001 -0000001010110111 -0111111110111101 -0001001011110011 -1000001101111010 -1101011111101110 -0111010110111010 -0011110000001010 -1001010001110101 -1011000110111001 -0101111001000100 -0101111001000100 -1011000110111001 -1001010001110101 -0011110000001010 -0111010110111010 -1101011111101110 -1000001101111010 -0001001011110011 -0111111110111101 -0000001010110111 -1000000010111001 -1110011110110010 -0111101100100111 -0010110100110001 -1000110010000011 -1011111100111000 -0110100010000000 -0101001010000001 -1010010101111110 -1001111000100101 -0100100111101001 -0110111001100100 -1100100011010001 -1000100000111111 -0010001011100000 -0111110110101011 -1111001001110001 -1000000000001000 -1111011111011011 -0111111010010110 -0001110110011110 -1000011001110000 -1100110111000100 -0111000100001011 -0100010101101000 -1001101010111011 -1010100101101010 -0101011010010110 -0110010101000101 -1011101010011000 -1000111011110101 -0011001000111100 -0111100110010000 -1110001001100010 -1000000101101010 -0000100000100101 -0111111111111000 -0000110110001111 -1000001001010101 -1101110100100000 -0111011111000001 -0011011100101111 -1001000110011100 -1011011000010111 -0110000111011011 diff --git a/hdl/modules/machine/uvx_sr_130M/dds_cos_lut.vhd b/hdl/modules/machine/uvx_sr_130M/dds_cos_lut.vhd deleted file mode 100644 index d93b2ab5..00000000 --- a/hdl/modules/machine/uvx_sr_130M/dds_cos_lut.vhd +++ /dev/null @@ -1,72 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Vivado DDS cos lut for UVX 130M --- Project : -------------------------------------------------------------------------------- --- File : dds_cos_lut.vhd --- Author : aylons --- Company : --- Created : 2015-04-15 --- Last update: 2015-04-15 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Temporary cosine lut for UVX machine with 130M ADC generated --- through Vivado. -------------------------------------------------------------------------------- --- Copyright (c) 2015 -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2015-04-15 1.0 aylons Created -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -library work; -use work.genram_pkg.all; - -entity dds_cos_lut is - port ( - clka : in std_logic; - addra : in std_logic_vector(5 downto 0); - douta : out std_logic_vector(15 downto 0) - ); -end entity dds_cos_lut; - -architecture str of dds_cos_lut is - - component generic_rom - generic ( - g_data_width : natural := 32; - g_size : natural := 16384; - g_init_file : string := ""; - g_fail_if_file_not_found : boolean := true - ); - port ( - rst_n_i : in std_logic; -- synchronous reset, active LO - clk_i : in std_logic; -- clock input - -- address input - a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); - -- data output - q_o : out std_logic_vector(g_data_width-1 downto 0) - ); - end component; - -begin - - cmp_cos_lut_sirius_35_148_1 : generic_rom - generic map ( - g_data_width => 16, - g_size => 148, - g_init_file => "cos_lut_sirius_35_148.mif", - g_fail_if_file_not_found => true - ) - port map ( - rst_n_i => '1', - clk_i => clka, - a_i => addra, - q_o => douta - ); - -end architecture str; diff --git a/hdl/modules/machine/uvx_sr_130M/dds_sin_lut.vhd b/hdl/modules/machine/uvx_sr_130M/dds_sin_lut.vhd deleted file mode 100644 index 6a6624d6..00000000 --- a/hdl/modules/machine/uvx_sr_130M/dds_sin_lut.vhd +++ /dev/null @@ -1,73 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Vivadi DDS sin lut for UVC 130M --- Project : -------------------------------------------------------------------------------- --- File : dds_sin_lut.vhd --- Author : aylons --- Company : --- Created : 2015-04-15 --- Last update: 2016-05-03 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Temporary sine lut for UVX machine with 130M ADC generated --- through Vivado. -------------------------------------------------------------------------------- --- Copyright (c) 2015 -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2015-04-15 1.0 aylons Created -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -library work; -use work.genram_pkg.all; - -------------------------------------------------------------------------------- -entity dds_sin_lut is - port ( - clka : in std_logic; - addra : in std_logic_vector(5 downto 0); - douta : out std_logic_vector(15 downto 0) - ); -end entity dds_sin_lut; - -architecture str of dds_sin_lut is - - component generic_rom - generic ( - g_data_width : natural := 32; - g_size : natural := 16384; - g_init_file : string := ""; - g_fail_if_file_not_found : boolean := true - ); - port ( - rst_n_i : in std_logic; -- synchronous reset, active LO - clk_i : in std_logic; -- clock input - -- address input - a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); - -- data output - q_o : out std_logic_vector(g_data_width-1 downto 0) - ); - end component; - -begin - - cmp_sin_lut_sirius_35_148_1 : generic_rom - generic map ( - g_data_width => 16, - g_size => 148, - g_init_file => "sin_lut_sirius_35_148.mif", - g_fail_if_file_not_found => true - ) - port map ( - rst_n_i => '1', - clk_i => clka, - a_i => addra, - q_o => douta - ); - -end architecture str; diff --git a/hdl/modules/machine/uvx_sr_130M/machine_pkg.vhd b/hdl/modules/machine/uvx_sr_130M/machine_pkg.vhd deleted file mode 100644 index 708585a9..00000000 --- a/hdl/modules/machine/uvx_sr_130M/machine_pkg.vhd +++ /dev/null @@ -1,79 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Machine parameters --- Project : -------------------------------------------------------------------------------- --- File : machine_pkg.vhd --- Author : aylons --- Company : --- Created : 2015-04-13 --- Last update: 2015-10-15 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Paarameters for different machines, to be used as generics. -------------------------------------------------------------------------------- --- Copyright (c) 2015 -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2015-04-13 1.0 aylons Created --- 2015-10-14 2.0 vfinotti Updated -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.math_real.all; - -package machine_pkg is - - constant c_pos_calc_with_downconv : boolean := true; - - constant c_pos_calc_adc_freq : real := 112583193.0; - constant c_pos_calc_input_width : natural := 16; - constant c_pos_calc_mixed_width : natural := 16; - constant c_pos_calc_adc_ratio : natural := 1; - - constant c_pos_calc_dds_width : natural := 16; - constant c_pos_calc_dds_points : natural := 35; - constant c_pos_calc_sin_file : string := "../../../dsp-cores/hdl/modules/position_calc/dds_sin.nif"; - constant c_pos_calc_cos_file : string := "../../../dsp-cores/hdl/modules/position_calc/dds_cos.nif"; - - constant c_pos_calc_tbt_cic_delay : natural := 1; - constant c_pos_calc_tbt_cic_stages : natural := 1; - constant c_pos_calc_tbt_ratio : natural := 35; - constant c_pos_calc_tbt_decim_width : natural := 32; - - constant c_pos_calc_fofb_cic_delay : natural := 1; - constant c_pos_calc_fofb_cic_stages : natural := 1; - constant c_pos_calc_fofb_ratio : natural := 490; - constant c_pos_calc_fofb_decim_width : natural := 32; - - constant c_pos_calc_monit1_cic_delay : natural := 1; - constant c_pos_calc_monit1_cic_stages : natural := 1; - constant c_pos_calc_monit1_ratio : natural := 200; --ratio between fofb and monit 1 - constant c_pos_calc_monit1_cic_ratio : natural := 8; - - constant c_pos_calc_monit2_cic_delay : natural := 1; - constant c_pos_calc_monit2_cic_stages : natural := 1; - constant c_pos_calc_monit2_ratio : natural := 32; -- ratio between monit 1 and 2 - constant c_pos_calc_monit2_cic_ratio : natural := 8; - - constant c_pos_calc_monit_decim_width : natural := 32; - - constant c_pos_calc_tbt_cordic_stages : positive := 12; - constant c_pos_calc_tbt_cordic_iter_per_clk : positive := 3; - constant c_pos_calc_tbt_cordic_ratio : positive := 4; - - constant c_pos_calc_fofb_cordic_stages : positive := 15; - constant c_pos_calc_fofb_cordic_iter_per_clk : positive := 3; - constant c_pos_calc_fofb_cordic_ratio : positive := 4; - - constant c_pos_calc_k_width : natural := 24; - constant c_pos_calc_IQ_width : natural := c_pos_calc_mixed_width; - - constant c_pos_calc_k_sum : natural := 10e6; - constant c_pos_calc_k_x : natural := 10e6; - constant c_pos_calc_k_y : natural := 10e6; - -end machine_pkg; diff --git a/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe b/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe deleted file mode 100644 index fff856cf..00000000 --- a/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.coe +++ /dev/null @@ -1,150 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector= -a57e, -9e25, -49e9, -6e64, -c8d1, -883f, -22e0, -7dab, -f271, -8008, -f7db, -7e96, -1d9e, -8670, -cdc4, -710b, -4568, -9abb, -a96a, -5696, -6545, -ba98, -8ef5, -323c, -7990, -e262, -816a, -0825, -7ff8, -0d8f, -8255, -dd20, -77c1, -372f, -919c, -b617, -61db, -5a82, -ad7f, -9780, -40c8, -737d, -d2cf, -84d9, -184e, -7f47, -fd49, -8043, -ed0d, -7c86, -2812, -8a46, -c3f6, -6b8b, -4e47, -a1bc, -a1bc, -4e47, -6b8b, -c3f6, -8a46, -2812, -7c86, -ed0d, -8043, -fd49, -7f47, -184e, -84d9, -d2cf, -737d, -40c8, -9780, -ad7f, -5a82, -61db, -b617, -919c, -372f, -77c1, -dd20, -8255, -0d8f, -7ff8, -0825, -816a, -e262, -7990, -323c, -8ef5, -ba98, -6545, -5696, -a96a, -9abb, -4568, -710b, -cdc4, -8670, -1d9e, -7e96, -f7db, -8008, -f271, -7dab, -22e0, -883f, -c8d1, -6e64, -49e9, -9e25, -a57e, -5281, -6880, -bf38, -8c83, -2d31, -7b27, -e7b2, -80b9, -02b7, -7fbd, -12f3, -837a, -d7ee, -75ba, -3c0a, -9475, -b1b9, -5e44, -5e44, -b1b9, -9475, -3c0a, -75ba, -d7ee, -837a, -12f3, -7fbd, -02b7, -80b9, -e7b2, -7b27, -2d31, -8c83, -bf38, -6880, -5281 diff --git a/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif b/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif deleted file mode 100644 index 7835058f..00000000 --- a/hdl/modules/machine/uvx_sr_130M/sin_lut_uvx_35_148/sin_lut_uvx_35_148.mif +++ /dev/null @@ -1,148 +0,0 @@ -1010010101111110 -1001111000100101 -0100100111101001 -0110111001100100 -1100100011010001 -1000100000111111 -0010001011100000 -0111110110101011 -1111001001110001 -1000000000001000 -1111011111011011 -0111111010010110 -0001110110011110 -1000011001110000 -1100110111000100 -0111000100001011 -0100010101101000 -1001101010111011 -1010100101101010 -0101011010010110 -0110010101000101 -1011101010011000 -1000111011110101 -0011001000111100 -0111100110010000 -1110001001100010 -1000000101101010 -0000100000100101 -0111111111111000 -0000110110001111 -1000001001010101 -1101110100100000 -0111011111000001 -0011011100101111 -1001000110011100 -1011011000010111 -0110000111011011 -0101101010000010 -1010110101111111 -1001011110000000 -0100000011001000 -0111001101111101 -1101001011001111 -1000010011011001 -0001100001001110 -0111111101000111 -1111110101001001 -1000000001000011 -1110110100001101 -0111110010000110 -0010100000010010 -1000101001000110 -1100001111110110 -0110101110001011 -0100111001000111 -1010000110111100 -1010000110111100 -0100111001000111 -0110101110001011 -1100001111110110 -1000101001000110 -0010100000010010 -0111110010000110 -1110110100001101 -1000000001000011 -1111110101001001 -0111111101000111 -0001100001001110 -1000010011011001 -1101001011001111 -0111001101111101 -0100000011001000 -1001011110000000 -1010110101111111 -0101101010000010 -0110000111011011 -1011011000010111 -1001000110011100 -0011011100101111 -0111011111000001 -1101110100100000 -1000001001010101 -0000110110001111 -0111111111111000 -0000100000100101 -1000000101101010 -1110001001100010 -0111100110010000 -0011001000111100 -1000111011110101 -1011101010011000 -0110010101000101 -0101011010010110 -1010100101101010 -1001101010111011 -0100010101101000 -0111000100001011 -1100110111000100 -1000011001110000 -0001110110011110 -0111111010010110 -1111011111011011 -1000000000001000 -1111001001110001 -0111110110101011 -0010001011100000 -1000100000111111 -1100100011010001 -0110111001100100 -0100100111101001 -1001111000100101 -1010010101111110 -0101001010000001 -0110100010000000 -1011111100111000 -1000110010000011 -0010110100110001 -0111101100100111 -1110011110110010 -1000000010111001 -0000001010110111 -0111111110111101 -0001001011110011 -1000001101111010 -1101011111101110 -0111010110111010 -0011110000001010 -1001010001110101 -1011000110111001 -0101111001000100 -0101111001000100 -1011000110111001 -1001010001110101 -0011110000001010 -0111010110111010 -1101011111101110 -1000001101111010 -0001001011110011 -0111111110111101 -0000001010110111 -1000000010111001 -1110011110110010 -0111101100100111 -0010110100110001 -1000110010000011 -1011111100111000 -0110100010000000 -0101001010000001 diff --git a/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe b/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe deleted file mode 100644 index 840e55d2..00000000 --- a/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe +++ /dev/null @@ -1,2 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector=000000 002075 0081b5 01235d 0204c9 032514 04831a 061d77 07f28c 0a007d 0c4533 0ebe63 116989 1443f1 174ab7 1a7ac7 1dd0e6 2149b2 24e1a6 28951b 2c6052 303f70 342e89 38299f 3c2ca8 403392 443a49 483cb5 4c36c6 502474 5401c1 57cac4 5b7ba4 5f10a3 628620 65d897 6904ab 6c0723 6edcf2 718338 73f744 76369a 783ef2 7a0e3c 7ba2a3 7cfa8b 7e1499 7eefad 7f8aeb 7fe5b4 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff; diff --git a/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif b/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif deleted file mode 100644 index 3a70029b..00000000 --- a/hdl/modules/machine/uvx_sr_130M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif +++ /dev/null @@ -1,251 +0,0 @@ -000000000000000000000000 -000000000010000001110101 -000000001000000110110101 -000000010010001101011101 -000000100000010011001001 -000000110010010100010100 -000001001000001100011010 -000001100001110101110111 -000001111111001010001100 -000010100000000001111101 -000011000100010100110011 -000011101011111001100011 -000100010110100110001001 -000101000100001111110001 -000101110100101010110111 -000110100111101011000111 -000111011101000011100110 -001000010100100110110010 -001001001110000110100110 -001010001001010100011011 -001011000110000001010010 -001100000011111101110000 -001101000010111010001001 -001110000010100110011111 -001111000010110010101000 -010000000011001110010010 -010001000011101001001001 -010010000011110010110101 -010011000011011011000110 -010100000010010001110100 -010101000000000111000001 -010101111100101011000100 -010110110111101110100100 -010111110001000010100011 -011000101000011000100000 -011001011101100010010111 -011010010000010010101011 -011011000000011100100011 -011011101101110011110010 -011100011000001100111000 -011100111111011101000100 -011101100011011010011010 -011110000011111011110010 -011110100000111000111100 -011110111010001010100011 -011111001111101010001011 -011111100001010010011001 -011111101110111110101101 -011111111000101011101011 -011111111110010110110100 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 diff --git a/hdl/modules/machine/uvx_sr_250M/Manifest.py b/hdl/modules/machine/uvx_sr_250M/Manifest.py deleted file mode 100644 index 70fb02d9..00000000 --- a/hdl/modules/machine/uvx_sr_250M/Manifest.py +++ /dev/null @@ -1,10 +0,0 @@ -files = [ "machine_pkg.vhd", - "dds_cos_lut.vhd", - "cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe", - "cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif", - "dds_sin_lut.vhd", - "sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe", - "sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif", - "sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe", - "sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif", - ] diff --git a/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe b/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe deleted file mode 100644 index f7d5feca..00000000 --- a/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.coe +++ /dev/null @@ -1,67 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector= -5a82, -978c, -c8aa, -7b16, -0de4, -803d, -1d21, -75f4, -bb28, -a13a, -64c2, -3cd9, -86c1, -ebfa, -7ffd, -e8ee, -87c8, -3f8d, -62d2, -9f2d, -bdc8, -771f, -1a1b, -8017, -10f6, -7a33, -c5e4, -995e, -5cab, -476f, -8b48, -dfdf, -7f8a, -f530, -841a, -3488, -6a36, -a7b5, -b385, -720c, -2614, -8122, -04a4, -7d4e, -d12b, -9277, -53b7, -5159, -90e5, -d410, -7de6, -018c, -8194, -2905, -709c, -b110, -a9f9, -6be8, -31b2, -835d, -f846, -7f3d, -dce2, -8c95, -49fa diff --git a/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif b/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif deleted file mode 100644 index 7e08b323..00000000 --- a/hdl/modules/machine/uvx_sr_250M/cos_lut_uvx_18_65/cos_lut_uvx_18_65.mif +++ /dev/null @@ -1,65 +0,0 @@ -0101101010000010 -1001011110001100 -1100100010101010 -0111101100010110 -0000110111100100 -1000000000111101 -0001110100100001 -0111010111110100 -1011101100101000 -1010000100111010 -0110010011000010 -0011110011011001 -1000011011000001 -1110101111111010 -0111111111111101 -1110100011101110 -1000011111001000 -0011111110001101 -0110001011010010 -1001111100101101 -1011110111001000 -0111011100011111 -0001101000011011 -1000000000010111 -0001000011110110 -0111101000110011 -1100010111100100 -1001100101011110 -0101110010101011 -0100011101101111 -1000101101001000 -1101111111011111 -0111111110001010 -1111010100110000 -1000010000011010 -0011010010001000 -0110101000110110 -1010011110110101 -1011001110000101 -0111001000001100 -0010011000010100 -1000000100100010 -0000010010100100 -0111110101001110 -1101000100101011 -1001001001110111 -0101001110110111 -0101000101011001 -1001000011100101 -1101010000010000 -0111110111100110 -0000000110001100 -1000000110010100 -0010100100000101 -0111000010011100 -1011000100010000 -1010100111111001 -0110101111101000 -0011000110110010 -1000001101011101 -1111100001000110 -0111111100111101 -1101110011100010 -1000110010010101 -0100100111111010 diff --git a/hdl/modules/machine/uvx_sr_250M/dds_cos_lut.vhd b/hdl/modules/machine/uvx_sr_250M/dds_cos_lut.vhd deleted file mode 100644 index 92059c52..00000000 --- a/hdl/modules/machine/uvx_sr_250M/dds_cos_lut.vhd +++ /dev/null @@ -1,72 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Vivado DDS cos lut for UVX 250M --- Project : -------------------------------------------------------------------------------- --- File : dds_cos_lut.vhd --- Author : aylons --- Company : --- Created : 2015-04-15 --- Last update: 2016-05-05 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Temporary cosine lut for UVX machine with 250M ADC generated --- through Vivado. -------------------------------------------------------------------------------- --- Copyright (c) 2015 -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2016-04-04 1.0 aylons Created -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -library work; -use work.genram_pkg.all; - -entity dds_cos_lut is - port ( - clka : in std_logic; - addra : in std_logic_vector(6 downto 0); - douta : out std_logic_vector(15 downto 0) - ); -end entity dds_cos_lut; - -architecture str of dds_cos_lut is - - component generic_rom - generic ( - g_data_width : natural := 32; - g_size : natural := 16384; - g_init_file : string := ""; - g_fail_if_file_not_found : boolean := true - ); - port ( - rst_n_i : in std_logic; -- synchronous reset, active LO - clk_i : in std_logic; -- clock input - -- address input - a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); - -- data output - q_o : out std_logic_vector(g_data_width-1 downto 0) - ); - end component; - -begin - - cmp_cos_lut_uvx_18_65_1 : generic_rom - generic map ( - g_data_width => 16, - g_size => 65, - g_init_file => "cos_lut_uvx_18_65.mif", - g_fail_if_file_not_found => true - ) - port map ( - rst_n_i => '1', - clk_i => clka, - a_i => addra, - q_o => douta - ); - -end architecture str; diff --git a/hdl/modules/machine/uvx_sr_250M/dds_sin_lut.vhd b/hdl/modules/machine/uvx_sr_250M/dds_sin_lut.vhd deleted file mode 100644 index b89491df..00000000 --- a/hdl/modules/machine/uvx_sr_250M/dds_sin_lut.vhd +++ /dev/null @@ -1,73 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Vivadi DDS sin lut for UVX 130M --- Project : -------------------------------------------------------------------------------- --- File : dds_sin_lut.vhd --- Author : aylons --- Company : --- Created : 2015-04-15 --- Last update: 2016-05-05 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Temporary sine lut for UVX machine with 130M ADC generated --- through Vivado. -------------------------------------------------------------------------------- --- Copyright (c) 2015 -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2015-04-15 1.0 aylons Created -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -library work; -use work.genram_pkg.all; - -------------------------------------------------------------------------------- -entity dds_sin_lut is - port ( - clka : in std_logic; - addra : in std_logic_vector(6 downto 0); - douta : out std_logic_vector(15 downto 0) - ); -end entity dds_sin_lut; - -architecture str of dds_sin_lut is - - component generic_rom - generic ( - g_data_width : natural := 32; - g_size : natural := 16384; - g_init_file : string := ""; - g_fail_if_file_not_found : boolean := true - ); - port ( - rst_n_i : in std_logic; -- synchronous reset, active LO - clk_i : in std_logic; -- clock input - -- address input - a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); - -- data output - q_o : out std_logic_vector(g_data_width-1 downto 0) - ); - end component; - -begin - - cmp_sin_lut_uvx_18_65_1 : generic_rom - generic map ( - g_data_width => 16, - g_size => 65, - g_init_file => "sin_lut_uvx_18_65.mif", - g_fail_if_file_not_found => true - ) - port map ( - rst_n_i => '1', - clk_i => clka, - a_i => addra, - q_o => douta - ); - -end architecture str; diff --git a/hdl/modules/machine/uvx_sr_250M/machine_pkg.vhd b/hdl/modules/machine/uvx_sr_250M/machine_pkg.vhd deleted file mode 100644 index f524b0c2..00000000 --- a/hdl/modules/machine/uvx_sr_250M/machine_pkg.vhd +++ /dev/null @@ -1,96 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Machine parameters for Sirius with 250MSps ADC --- Project : -------------------------------------------------------------------------------- --- File : machine_pkg.vhd --- Author : --- Company : --- Created : 2016-04-04 --- Last update: 2016-04-06 --- Platform : --- Standard : VHDL'93/02 -------------------------------------------------------------------------------- --- Description: Machine parameters for Sirius with 250MSps ADC -------------------------------------------------------------------------------- --- Copyright (c) 2016 - --- This program is free software: you can redistribute it and/or --- modify it under the terms of the GNU Lesser General Public License --- as published by the Free Software Foundation, either version 3 of --- the License, or (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, but --- WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --- Lesser General Public License for more details. --- --- You should have received a copy of the GNU Lesser General Public --- License along with this program. If not, see --- . - -------------------------------------------------------------------------------- --- Revisions : --- Date Version Author Description --- 2016-04-04 1.0 aylons Created -------------------------------------------------------------------------------- - - - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.math_real.all; - -package machine_pkg is - - constant c_pos_calc_with_downconv : boolean := true; - - constant c_pos_calc_adc_freq : real := 221.644e6; - constant c_pos_calc_input_width : natural := 16; - constant c_pos_calc_mixed_width : natural := 16; - constant c_pos_calc_adc_ratio : natural := 1; - - constant c_pos_calc_dds_width : natural := 16; - constant c_pos_calc_dds_points : natural := 65; - constant c_pos_calc_sin_file : string := "../../../dsp-cores/hdl/modules/position_calc/dds_sin.nif"; - constant c_pos_calc_cos_file : string := "../../../dsp-cores/hdl/modules/position_calc/dds_cos.nif"; - - constant c_pos_calc_tbt_cic_delay : natural := 1; - constant c_pos_calc_tbt_cic_stages : natural := 1; - constant c_pos_calc_tbt_ratio : natural := 65; - constant c_pos_calc_tbt_decim_width : natural := 32; - - constant c_pos_calc_fofb_cic_delay : natural := 1; - constant c_pos_calc_fofb_cic_stages : natural := 1; - constant c_pos_calc_fofb_ratio : natural := 975; - constant c_pos_calc_fofb_decim_width : natural := 32; - - constant c_pos_calc_monit1_cic_delay : natural := 1; - constant c_pos_calc_monit1_cic_stages : natural := 1; - constant c_pos_calc_monit1_ratio : natural := 214; --ratio between fofb and monit 1 - constant c_pos_calc_monit1_cic_ratio : natural := 8; - - constant c_pos_calc_monit2_cic_delay : natural := 1; - constant c_pos_calc_monit2_cic_stages : natural := 1; - constant c_pos_calc_monit2_ratio : natural := 40; -- ratio between monit 1 and 2 - constant c_pos_calc_monit2_cic_ratio : natural := 8; - - constant c_pos_calc_monit_decim_width : natural := 32; - - constant c_pos_calc_tbt_cordic_stages : positive := 12; - constant c_pos_calc_tbt_cordic_iter_per_clk : positive := 3; - constant c_pos_calc_tbt_cordic_ratio : positive := 8; - - constant c_pos_calc_fofb_cordic_stages : positive := 15; - constant c_pos_calc_fofb_cordic_iter_per_clk : positive := 3; - constant c_pos_calc_fofb_cordic_ratio : positive := 8; - - constant c_pos_calc_k_width : natural := 24; - constant c_pos_calc_IQ_width : natural := c_pos_calc_mixed_width; - - constant c_pos_calc_k_sum : natural := 85e5; - constant c_pos_calc_k_x : natural := 85e5; - constant c_pos_calc_k_y : natural := 85e5; - -end machine_pkg; diff --git a/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe b/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe deleted file mode 100644 index 987f973a..00000000 --- a/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.coe +++ /dev/null @@ -1,67 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector= -a57e, -b606, -736b, -231e, -80c3, -07ba, -7ca3, -ce4e, -9418, -5607, -4ef0, -8f64, -d6fb, -7e6c, -fe74, -821a, -2bf0, -6f1b, -aea7, -ac49, -6d89, -2ed5, -82b2, -fb5c, -7ede, -d9ec, -8df4, -4c7b, -584b, -95ca, -cb78, -7be6, -0ad0, -8076, -2021, -74b8, -b891, -a355, -66a2, -3a1c, -85cd, -ef0a, -7fe9, -e5e5, -88e1, -4238, -60d3, -9d2e, -c073, -7838, -1712, -8003, -1406, -793f, -c327, -9b3e, -5ec6, -44d8, -8a0c, -e2df, -7fc3, -f21c, -84ea, -3756, -6874 diff --git a/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif b/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif deleted file mode 100644 index a3417326..00000000 --- a/hdl/modules/machine/uvx_sr_250M/sin_lut_uvx_18_65/sin_lut_uvx_18_65.mif +++ /dev/null @@ -1,65 +0,0 @@ -1010010101111110 -1011011000000110 -0111001101101011 -0010001100011110 -1000000011000011 -0000011110111010 -0111110010100011 -1100111001001110 -1001010000011000 -0101011000000111 -0100111011110000 -1000111101100100 -1101011011111011 -0111111001101100 -1111111001110100 -1000001000011010 -0010101111110000 -0110111100011011 -1010111010100111 -1010110001001001 -0110110110001001 -0010111011010101 -1000001010110010 -1111101101011100 -0111111011011110 -1101100111101100 -1000110111110100 -0100110001111011 -0101100001001011 -1001010111001010 -1100101101111000 -0111101111100110 -0000101011010000 -1000000001110110 -0010000000100001 -0111010010111000 -1011100010010001 -1010001101010101 -0110011010100010 -0011101000011100 -1000010111001101 -1110111100001010 -0111111111101001 -1110010111100101 -1000100011100001 -0100001000111000 -0110000011010011 -1001110100101110 -1100000001110011 -0111100000111000 -0001011100010010 -1000000000000011 -0001010000000110 -0111100100111111 -1100001100100111 -1001101100111110 -0101111011000110 -0100010011011000 -1000101000001100 -1110001011011111 -0111111111000011 -1111001000011100 -1000010011101010 -0011011101010110 -0110100001110100 diff --git a/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe b/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe deleted file mode 100644 index 840e55d2..00000000 --- a/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.coe +++ /dev/null @@ -1,2 +0,0 @@ -memory_initialization_radix=16; -memory_initialization_vector=000000 002075 0081b5 01235d 0204c9 032514 04831a 061d77 07f28c 0a007d 0c4533 0ebe63 116989 1443f1 174ab7 1a7ac7 1dd0e6 2149b2 24e1a6 28951b 2c6052 303f70 342e89 38299f 3c2ca8 403392 443a49 483cb5 4c36c6 502474 5401c1 57cac4 5b7ba4 5f10a3 628620 65d897 6904ab 6c0723 6edcf2 718338 73f744 76369a 783ef2 7a0e3c 7ba2a3 7cfa8b 7e1499 7eefad 7f8aeb 7fe5b4 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff 7fffff; diff --git a/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif b/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif deleted file mode 100644 index 3a70029b..00000000 --- a/hdl/modules/machine/uvx_sr_250M/sw_windowing_n_251_tukey_0_2/sw_windowing_n_251_tukey_0_2.mif +++ /dev/null @@ -1,251 +0,0 @@ -000000000000000000000000 -000000000010000001110101 -000000001000000110110101 -000000010010001101011101 -000000100000010011001001 -000000110010010100010100 -000001001000001100011010 -000001100001110101110111 -000001111111001010001100 -000010100000000001111101 -000011000100010100110011 -000011101011111001100011 -000100010110100110001001 -000101000100001111110001 -000101110100101010110111 -000110100111101011000111 -000111011101000011100110 -001000010100100110110010 -001001001110000110100110 -001010001001010100011011 -001011000110000001010010 -001100000011111101110000 -001101000010111010001001 -001110000010100110011111 -001111000010110010101000 -010000000011001110010010 -010001000011101001001001 -010010000011110010110101 -010011000011011011000110 -010100000010010001110100 -010101000000000111000001 -010101111100101011000100 -010110110111101110100100 -010111110001000010100011 -011000101000011000100000 -011001011101100010010111 -011010010000010010101011 -011011000000011100100011 -011011101101110011110010 -011100011000001100111000 -011100111111011101000100 -011101100011011010011010 -011110000011111011110010 -011110100000111000111100 -011110111010001010100011 -011111001111101010001011 -011111100001010010011001 -011111101110111110101101 -011111111000101011101011 -011111111110010110110100 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 -011111111111111111111111 From 99d343563b4f56d6120005bbc50f007f1b2edd33 Mon Sep 17 00:00:00 2001 From: Lucas Russo Date: Mon, 13 Jul 2020 16:29:24 -0300 Subject: [PATCH 3/4] syn/afc_v3: fix script to not generate UVX bitstream, as unexisting --- hdl/syn/afc_v3/vivado/generate-all-bits.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/hdl/syn/afc_v3/vivado/generate-all-bits.sh b/hdl/syn/afc_v3/vivado/generate-all-bits.sh index b1aecc12..fedebc8b 100755 --- a/hdl/syn/afc_v3/vivado/generate-all-bits.sh +++ b/hdl/syn/afc_v3/vivado/generate-all-bits.sh @@ -1,6 +1,6 @@ #!/bin/bash -for target in dbe_bpm2_bo_sirius dbe_bpm2_sr_sirius dbe_bpm2_sr_uvx dbe_pbpm; do +for target in dbe_bpm2_bo_sirius dbe_bpm2_sr_sirius dbe_pbpm; do TOP=$(pwd) cd ${target} && make clean && ./build_bitstream_local.sh ; cd ${TOP}; done From e7e7a20d7d4dcaad61520ff0f10dcd7031484e2d Mon Sep 17 00:00:00 2001 From: Lucas Russo Date: Wed, 15 Jul 2020 15:37:51 -0300 Subject: [PATCH 4/4] machine/sirius_bo_250M: revert MONIT rate to 10Hz as anything more is unneeded --- hdl/modules/machine/sirius_bo_250M/machine_pkg.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/hdl/modules/machine/sirius_bo_250M/machine_pkg.vhd b/hdl/modules/machine/sirius_bo_250M/machine_pkg.vhd index 834074c8..d8d6d77c 100644 --- a/hdl/modules/machine/sirius_bo_250M/machine_pkg.vhd +++ b/hdl/modules/machine/sirius_bo_250M/machine_pkg.vhd @@ -73,7 +73,7 @@ package machine_pkg is constant c_pos_calc_monit2_cic_delay : natural := 1; constant c_pos_calc_monit2_cic_stages : natural := 1; - constant c_pos_calc_monit2_ratio : natural := 40; -- ratio between monit 1 and 2 + constant c_pos_calc_monit2_ratio : natural := 100; -- ratio between monit 1 and 2 constant c_pos_calc_monit2_cic_ratio : natural := 8; constant c_pos_calc_monit_decim_width : natural := 32;