Skip to content

Commit f14f815

Browse files
committed
fix
1 parent 3f99228 commit f14f815

File tree

2 files changed

+18
-11
lines changed

2 files changed

+18
-11
lines changed

hdl/comb.sv

Lines changed: 9 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -31,17 +31,20 @@ wire data_reg_push_str;
3131

3232

3333
reg samp_out_str_reg;
34-
reg signed [SAMP_WIDTH - 1 : 0] data_out_reg;
34+
if (USE_DSP) begin : genblk1
35+
(* use_dsp = "yes" *) reg signed [SAMP_WIDTH - 1 : 0] data_out_reg;
36+
end else begin : genblk1
37+
reg signed [SAMP_WIDTH - 1 : 0] data_out_reg;
38+
end
39+
3540
assign data_reg_push_str = samp_inp_str;
3641
always @(posedge clk)
3742
begin
38-
if (!reset_n) data_out_reg <= '0;
39-
else if (samp_inp_str)
40-
if (USE_DSP) (* use_dsp = "yes" *) data_out_reg <= samp_inp_data - data_reg[CIC_M - 1];
41-
else data_out_reg <= samp_inp_data - data_reg[CIC_M - 1];
43+
if (!reset_n) genblk1.data_out_reg <= '0;
44+
else if (samp_inp_str) genblk1.data_out_reg <= samp_inp_data - data_reg[CIC_M - 1];
4245
end
4346

44-
assign samp_out_data = data_out_reg;
47+
assign samp_out_data = genblk1.data_out_reg;
4548
always @(posedge clk)
4649
begin
4750
if (!reset_n) samp_out_str_reg <= '0;

hdl/integrator.sv

Lines changed: 9 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -17,20 +17,24 @@ module integrator
1717
);
1818
/*********************************************************************************************/
1919
localparam SUMMER_WIDTH = DATA_WIDTH_INP > DATA_WIDTH_OUT ? DATA_WIDTH_INP : DATA_WIDTH_OUT;
20-
reg signed [SUMMER_WIDTH - 1:0] acc_reg;
20+
if (USE_DSP) begin : genblk1
21+
(* use_dsp = "yes" *) reg signed [SUMMER_WIDTH - 1:0] acc_reg;
22+
end else begin : genblk1
23+
reg signed [SUMMER_WIDTH - 1:0] acc_reg;
24+
end
25+
2126
always_ff @(posedge clk)
2227
begin
2328
if (!reset_n) begin
24-
acc_reg <= 0;
29+
genblk1.acc_reg <= 0;
2530
out_samp_str <= 0;
2631
end
2732
else begin
28-
if (USE_DSP) (* use_dsp = "yes" *) acc_reg <= inp_samp_str ? acc_reg + inp_samp_data : acc_reg;
29-
else acc_reg <= inp_samp_str ? acc_reg + inp_samp_data : acc_reg;
33+
genblk1.acc_reg <= inp_samp_str ? genblk1.acc_reg + inp_samp_data : genblk1.acc_reg;
3034
out_samp_str <= inp_samp_str;
3135
end
3236
end
3337

34-
assign out_samp_data = acc_reg[SUMMER_WIDTH - 1 -: DATA_WIDTH_OUT];
38+
assign out_samp_data = genblk1.acc_reg[SUMMER_WIDTH - 1 -: DATA_WIDTH_OUT];
3539
/*********************************************************************************************/
3640
endmodule

0 commit comments

Comments
 (0)