Skip to content

Releases: VHDL-LS/rust_hdl

v0.83.0

27 Aug 18:40
Compare
Choose a tag to compare

What's Changed

Bug Fixes

  • Fix no diagnostic being shown for binary expressions in certain circumstances
  • Enable completions from within subprograms
  • Disable completions after the ; token
  • Correctly parse and handle arrays of views
  • Correctly resolve selected names of types
  • Do not resolve symlinks for paths defined in the vhdl_ls.toml config
  • Allow underscores in decimal bit strings
  • Fix interface view package mismatch
  • Fix: signal attributes causes incorrect diagnostic when used in a sensitivity list
  • Replace copyright symbol to prevent errors using utf-8 coding by @qarlosalberto in #338

Formatter

This Release features an experimental VHDL formatter. In its current implementation, the formatter simply outputs a VHDL file in a single, pre-defined format. This is not intended to be used right now, but is rather the prerequisite for a fully featured VHDL formatter.

New Contributors

Full Changelog: v0.82.0...v0.83.0

v0.82.0

01 Jul 10:01
Compare
Choose a tag to compare

What's Changed

  • Add support for textDocument/documentHighlight request by @rapgenic in #308
  • Improve quality of workspace symbols
    rust_hdl now uses a fuzzy searcher to filter workspace symbols, enabling a better user experience
  • Improved completions:
    • Completions now also work in entities, packages and package bodies
    • General-purpose completions now suggest every visible symbol instead of only the immediate visible symbols
    • Completions for selected names (e.g., completions after . for records, packages, e.t.c.) and attribute names (e.g., completions after ') are now supported
    • Fix a bug where completions wouldn't show up for the work library
    • The parser was made more robust so that completions show up more regularly
    • Complete component instantiations. These work similar to entity instantiations
  • Performance
    • Avoid sending all diagnostics on every file change. This reduces the communication overhead with the client and improves the user experience.

New Contributors

Full Changelog: v0.81.0...v0.82.0

v0.81.0

25 May 20:28
Compare
Choose a tag to compare

What's Changed

  • Check raw entity IDs from an LSP client to prevent the client from crashing
  • Add source code location to all named entities
  • Consider /usr/local/lib when looking for the vhdl libraries
  • Refactor vhdl_lang executable to be used as tool within pipelines
    • Remove most of the arguments, such as perf or bench
    • Enable passing an optional path to the standard libraries
    • Exit with exit code '1' for any serious errors

v0.80.0

27 Apr 15:52
Compare
Choose a tag to compare

What's Changed

  • Add signal and port declarations to the list of completion items
  • Enable ignoring files that are not part of the project

VHDL 2019 only

  • Add initial support for view declaration
  • The second component keyword may be optional for component declarations
  • Allow trailing semicolons in interface lists

v0.79.0

09 Apr 20:13
Compare
Choose a tag to compare

What's Changed

  • Add Diagnostic Error Codes
  • Enable configurable severities
  • Add support for different VHDL standards

Full Changelog: v0.78.2...v0.79.0

v0.78.2

29 Mar 20:45
Compare
Choose a tag to compare

Change Variable substitution in windows from $NAME to the %NAME%

v0.78.1

06 Mar 20:08
Compare
Choose a tag to compare

Fix: Using the .all suffix is no longer considered an error for InterfacePackageInstances in use clauses

v0.78.0

02 Mar 21:56
Compare
Choose a tag to compare

What's Changed

  • Feat: extend goto/implementation to find architectures of entities by @kernmatthias in #230

  • Fix: Emacs strange Unexpected EOF by @Rutherther in #245

  • Update Emacs config section in README.md by @gmlarumbe in #240

  • Feat: search standard libs in ../share/ by @Derisis13 in #259

  • Feat: Substitute environment variables into config paths by @KarelPeeters in #265

  • Feat: Disallow Interface lists to be empty

  • Feat: Disallow a library being called 'work'

  • Feat: Better diagnostic for attributes

  • Fix: Distinguish between packages that are instantiated in a generic map and in the declarative region

  • Fix: Allow package instances to be selected from design units

  • Feat: Enable Entity Completion

  • Feat: Check that the interface mode of a subprograms matches the called mode

  • Fix: Change the error message from the config when the error is something other than NotFound

  • Feat: Add aarch64-apple-darwin as target architecture

  • Feat: Add source code information to Symbol Documentation

New Contributors

Full Changelog: v0.77.0...v0.78.0

v0.77.0

24 Nov 18:06
Compare
Choose a tag to compare
Release 0.77.0

v0.76.0

14 Nov 20:55
Compare
Choose a tag to compare
Release 0.76.0